You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
 
 
 
 

20 lines
320 B

/*
* uart.h
*/
#ifndef _UART_H_
#define _UART_H_
#include "CH59x_common.h"
#include "CFG.h"
extern bool is_recive_data;
extern bool at_cipmode_flag;//是否透传
extern uint8_t RxBuff[255];
extern uint8_t RxLen;
void uart1_init(void);
uint32_t uart1_get_baud(void);
void uart1_reset(void);
#endif /* USER_UART_H_ */